首页 > 汽车电子 > 正文
Mentor Graphics新增SystemVerilog课程和模式库
作者: 未名 来源: 汽车制动网 日期: 2016年08月10日

Mentor Graphics Verification Academy新增SystemVerilog课程和模式库以扩展工程师的专业知识和资源
Mentor Graphics 公司(纳斯达克代码:MENT)为 Verification Academy 增加全新的 SystemVerilog 课程和模式库以帮助验证工程师提高专业技能、生产率及设计质量。针对 UVM 验证的 SystemVerilog 面向对象编程 (OOP) 课程由一位业内资深的 SystemVerilog 专家开发,可帮助工程师扩展 SystemVerilog 技能并在新概念、新技术与新方法学方面保持与时俱进。可供搜索的通用模式库为反复出现的普遍问题提供解决方案,让组织能够记录并分享最佳实践,从而提升验证效率和最终设计质量。
 
“Verification Academy 为基于 UVM 的验证来说是一个全面、简明且易于访问的资源。通过新增的模式库,学院现可提供基于实例且可供搜索的验证设计模式库,”SEAKR 工程公司首席 ASIC 验证工程师 Brian Mathewson 说道,“Verification Academy 由 Mentor Graphics 业内顶级应用工程师提供支持,为提升验证技能提供所需资源以缩短设计和验证周期。”
 
业内对 SystemVerilog 技能的需求极高。Verification Academy 为本次新课程邀请了业界公认的专家 Dave Rich,他参与了 SystemVerilog 的初始开发及标准化,并继续为扩展 SystemVerilog 的功能和价值作出杰出贡献。针对 UVM 验证的 SystemVerilog OOP 课程帮助工程师提升 SystemVerilog 技能,以便利用行业所需的高级验证工具和技术。
 
设计和验证模式为许多现代工程问题提供优化且可重复使用的解决方案。在验证中,这一成熟有效的工具便于在项目组内分享最佳实践及培养技能。Mentor 一直致力于创建易于搜索、随时可用且可供引用的模式库,从而扩展验证模式的应用。新模式库由软件仿真测试平台的编码扩展到更普遍的验证问题,例如指定断言、定义输入激励和分析等。内容涉及到整个验证领域的各个方面——从规范到方法论,再到实施。该模式库引入了一套系统化的模式组织与记录步骤,适用于软件仿真和硬件仿真等所有验证引擎。
 
“SystemVerilog 在业内的采用率超过 75%,因而成为现今最主要的验证语言。我们全新的 Verification Academy SystemVerilog 课程与其他热门 UVM 课程一同为提升验证技能提供卓越的教育资源,”Mentor 设计验证技术部首席科学家 Harry Foster 说道,“ Verification Academy 全新的模式库易于搜索、可供引用和关联,为许多具有挑战性的断言规范和 UVM 测试平台问题提供久经验证的解决方案。”
 
Verification Academy 简介
Mentor Graphics Verification Academy 是面向验证工程师的最全面资源,提供有关高级功能验证技术的相关信息和在线培训。Verification Academy 可谓在业内首开先河,前所未有。该学院的目标是提供必要技能以促进组织提升高级功能验证流程能力。为此,Verification Academy 在涉及高级验证技术的高价值定位与关于特定工具和验证语言具体问题的低级别细节之间架起方法论桥梁。

(转载请注明来源: 汽车制动网/chebrake.com 责任编辑:jack)

推荐好友:
加入收藏: 加入收藏夹
】【打印本页】【发表评论】【关闭窗口
 
   
   
 
联系电话:021-50325218
Copyright 2007 www.chebrake.com. All rights reserved.
© 2007 汽车制动网 版权所有|法律声明 沪ICP备13016240号-2